vivadoインストールで固まる対応

vivadoインストールで固まる対応 UbuntuにXilinx Vivadoをインストールすると、”generating installed device list”で止まってしまう解決方 | mimoexのブログ UbuntuにICDライブラリをインストールしてから、Vivadoのインストーラを実行します。 sudo apt in…

musescore 反復記号(1番括弧、2番括弧)

https://musescore.org/ja/handbook/2/fanfujihao1fankuohu2fankuohu

PCのベンチマーク

【レビュー】Windows Sysinternals製、CPUの詳細情報を取得するコマンドラインツール「Coreinfo」 - 窓の杜 winsat formal cinebenchは AVX2がないと動かない

windows PCの省電力状態

ASCII.jp:Windows 10の電力管理を支えるACPIを見る (1/2) G0 -- working G1 -- sleep -- S1 -- S2 -- S3 -- S4 G2 -- soft off G3 --完全にOFF G1とG2の電力が大事 G2でWoLが使える状態の電力を測る G1でUSB deviceから復帰できるSLEEPの電力を測る。 G/Sと…

ubuntuをノートPCにインストールするための準備 インストール用のDVDかUSBメモリを作るため、 イメージをダウンロードする releases.ubuntu.com

windows スリープ復帰要因の調べ方

【やじうまPC Watch】「Windows 10のPCが夜中、勝手にスリープから復帰する」原因と対策 - PC Watch コマンドプロンプトで powercfg -devicequery wake_armed を打ったときにデバイスが表示される。

google colab

【簡単】GoogleColabの制限とは?90分と12時間ルール 【Google Colaboratory】Google ドライブをマウントする方法を解説! - AI Academy Media

esp32 micropython環境設定

ESP32開発ボード Micro Python開発環境の構築 | Interface – CQ出版

serial通信で制御設定を変える

serial通信でポート制御設定を変える // L->H(2sec)->L(val)->H(2sec) #define LED_PIN 13 int blinkDelay = 500; int LEDState = LOW; int data = 1000; void setup() { Serial.begin(115200); Serial.setTimeout(10); Serial.println("start"); pinMode(LE…

matplot tips 色指定 fill_between stem

検索: fill_between matplot sabopy.com matplotlib.collections — Matplotlib 3.6.0 documentation www.yutaka-note.com # https://helve-blog.com/posts/python/numpy-fast-fourier-transform/ # https://sabopy.com/py/matplotlib-110/ import numpy as n…

VTF (dooley)

import math import numpy as np import matplotlib.pyplot as plt #vtf = 5.05*math.exp(-0.138 * math.pi*300/180 * u ) * ( 1 - math.exp(-0.1 * math.pi * 300/180 * u) ) u = np.linspace(0, 10, 101) #print(u) #print(u.size) vtf = np.empty(u.size)…

FFT 参考

FFT

helve-blog.com import numpy as np import matplotlib.pyplot as plt N = 1024 # サンプル数 dt = 0.001 # サンプリング周期 [s] f1, f2 = 50, 120 # 周波数 [Hz] t = np.arange(0, N*dt, dt) # 時間 [s] x = 1.5*np.sin(2*np.pi*f1*t) + np.sin(2*np.pi*f2…

macnica資料

資料ダウンロード | 組込み技術ラボ

プリンタ不具合

https://www2.epson.jp/support/manual/data/ink/scs30650/SCSBEHB_C.PDF https://www.onyxgfx.com/wp-content/uploads/2014/11/ONYX%E3%83%81%E3%83%A5%E3%83%BC%E3%83%88%E3%83%AA%E3%82%A2%E3%83%AB_%E3%82%BF%E3%82%A4%E3%83%AB%E3%83%AF%E3%83%BC%E3%82…

tmp

Version 4 SHEET 1 880 680 WIRE -304 -32 -304 -96 WIRE -128 -32 -128 -96 WIRE 208 -32 208 -96 WIRE -304 112 -304 48 WIRE -128 112 -128 48 WIRE 208 144 208 48 WIRE 160 224 64 224 WIRE 208 336 208 240 WIRE 208 464 208 416 FLAG 208 464 0 FLAG …

ブロブ検出

OpenCVを使ったブロブ検知の実行例 - Qiita 【画像処理】PythonでOpenCVを使わずにラベリング処理 - Qiita 【画像処理】PythonでOpenCVを使ったラベリング処理 - Qiita 【Python/OpenCV】ラベリングとブロブ解析 OpenCV ブロブ(粒子解析) 再考 - Emotion Exp…

画像へのアクセス

Pythonのfor文でインデックスを同時に参照する:enumerate() | UX MILK Python OpenCVの基礎 画素へのアクセス - Pythonの学習の過程とか import numpy as np import cv2 #画像の読み込み img = cv2.imread('lena_gray.jpg',0) height,width = img.shape[:2]…

特徴量+位置合わせ

画像位置合わせ:SIFTから深層学習まで - Qiita OpenCV - 特徴点マッチングで物体検出、移動、回転量を推定する - pystyle Pythonによる写真画像の位置合わせ | キャンプ工学 画像から特徴量を抽出し、透視変換行列を導出して画像を変形する - Qiita ORB特徴…

PySimpleGUI + opencv

import PySimpleGUI as sg import cv2 import numpy as np #opencv img_org = cv2.imread("Bus.jpg") img = cv2.imread("Bus.jpg") h, w = img.shape[:2] dx,dy,degree = 0.0, 0.0, 0.0 # セクション1 - オプションの設定と標準レイアウト sg.theme('Dark Bl…

verilog 練習1

module clkgen ( input wire clk, input wire reset_l, output reg clk_out ); parameter clk_counter_value = 4'b0100; reg [3:0] clk_counter; always @(posedge clk or negedge reset_l) begin if(!reset_l) begin clk_counter <= 4'b0000; end else if(c…

組込みネット 初めてでも使えるVerilog HDL文法ガイド ―― 記述スタイル編|Tech Village (テックビレッジ) / CQ出版株式会社 マクニカセミナー資料 https://www.macnica.co.jp/business/semiconductor/articles/pdf/Verilog-HDL_Trial_Text_r1__1.pdf マ…

avalon bfm

Avalon® Verification IP Suite Design Example

RAMの初期値を入れて論理simを行う

Intel:ModelSim でメモリ (RAM/ROM) を含むデザインのファンクション・シミュレーションをする場合、メモリの初期値はどのようにしたら良いですか? - 半導体事業 - マクニカ

excelあるある-セルに単位を表示する

桁数ぶん0と"単位"とかく 例) 000"MHz" 000"ns" faq.nec-lavie.jp

rggenで作った回路でエラー(解決しました・・)

rggenでレジスタの属性をexternにしてリードすると modelsim altera editionで以下のエラーでsimが止まってしまう。 writeは大丈夫。 原因不明。 →原因はDPI-CのTask_BFM_Read32の記述の問題でした。externは関係なかったです。rggenでつくったRTLじゃないも…

systemverilog参考記事

電子回路日和 - Interface(1) デザイン向け(論理合成可能)SystemVerilog記述 - Qiita SystemVerilogで遊ぼう! All-of-SystemVerilog/Models.md at main · vengineer-systemverilog/All-of-SystemVerilog · GitHub

windows版rubyのインストール

rubyinstaller.org 2.6.9をインストール ruby -v ruby 2.6.9p207 (2021-11-24 revision 67954) [x64-mingw32] gem -v 3.0.3.1

winのgitがcygwinで邪魔になる件

git

CygwinでGitを使うと.gitconfigが効かない問題 - s4kr4.blog

レジスタ自動生成

制御レジスタ (CSR) を自動生成する - Qiita

MQTT関連リンク

ESP32・MQTT「計測用デバイスのMQTT通信」 ESP32をMQTTでPublishする - Qiita arduinoのmqttライブラリ たくさんあるけど↓がよいっぽい。 GitHub - knolleary/pubsubclient: A client library for the Arduino Ethernet Shield that provides support for MQ…