2021-12-01から1ヶ月間の記事一覧

avalon bfm

Avalon® Verification IP Suite Design Example

RAMの初期値を入れて論理simを行う

Intel:ModelSim でメモリ (RAM/ROM) を含むデザインのファンクション・シミュレーションをする場合、メモリの初期値はどのようにしたら良いですか? - 半導体事業 - マクニカ

excelあるある-セルに単位を表示する

桁数ぶん0と"単位"とかく 例) 000"MHz" 000"ns" faq.nec-lavie.jp

rggenで作った回路でエラー(解決しました・・)

rggenでレジスタの属性をexternにしてリードすると modelsim altera editionで以下のエラーでsimが止まってしまう。 writeは大丈夫。 原因不明。 →原因はDPI-CのTask_BFM_Read32の記述の問題でした。externは関係なかったです。rggenでつくったRTLじゃないも…

systemverilog参考記事

電子回路日和 - Interface(1) デザイン向け(論理合成可能)SystemVerilog記述 - Qiita SystemVerilogで遊ぼう! All-of-SystemVerilog/Models.md at main · vengineer-systemverilog/All-of-SystemVerilog · GitHub

windows版rubyのインストール

rubyinstaller.org 2.6.9をインストール ruby -v ruby 2.6.9p207 (2021-11-24 revision 67954) [x64-mingw32] gem -v 3.0.3.1

winのgitがcygwinで邪魔になる件

git

CygwinでGitを使うと.gitconfigが効かない問題 - s4kr4.blog

レジスタ自動生成

制御レジスタ (CSR) を自動生成する - Qiita

MQTT関連リンク

ESP32・MQTT「計測用デバイスのMQTT通信」 ESP32をMQTTでPublishする - Qiita arduinoのmqttライブラリ たくさんあるけど↓がよいっぽい。 GitHub - knolleary/pubsubclient: A client library for the Arduino Ethernet Shield that provides support for MQ…

sim環境

if ![info exists TOP_LEVEL_NAME] { set TOP_LEVEL_NAME "QSYS_CORE" set TOP_LEVEL_NAME "top" } if ![info exists QSYS_SIMDIR] { set QSYS_SIMDIR "./../" set QSYS_SIMDIR "../QSYS_CORE/simulation" }

値のビット幅を調べるclog2

$clog2によるビット幅算出のよくある間違い - Qiita

フライバック電源 トレーニング資料

ACDC電源 トレーニング資料/ツール TI 20 分で分かる!フライバック・コンバータの基礎 | TI.com Video 富士電機 パワー半導体 電源制御用ICデザインツール | 富士電機 サンケン 製品選択ガイド |サンケン電気 microchip http://ww1.microchip.com/download…

sramの記述

RAMのRTL記述 BRAM 達人への道 (1) 構造と基本的な使い方 | ACRi Blog