2022-03-07から1日間の記事一覧

verilog 練習1

module clkgen ( input wire clk, input wire reset_l, output reg clk_out ); parameter clk_counter_value = 4'b0100; reg [3:0] clk_counter; always @(posedge clk or negedge reset_l) begin if(!reset_l) begin clk_counter <= 4'b0000; end else if(c…